Una máscara dura novedosa
HogarHogar > Blog > Una máscara dura novedosa

Una máscara dura novedosa

Nov 15, 2023

Scientific Reports volumen 12, Número de artículo: 12180 (2022) Citar este artículo

2229 Accesos

2 citas

1 Altmetric

Detalles de métricas

Esta carta resuelve un obstáculo importante que estropea la fabricación basada en fotolitografía de estructuras de micro-mesoescala en silicio. La fotolitografía convencional generalmente se realiza en superficies de obleas lisas y planas para colocar un diseño 2D y luego grabarlo para crear características de un solo nivel. Sin embargo, no puede procesar superficies no planas u obleas ya grabadas y crear más de un nivel en la estructura. En este estudio, hemos descrito un flujo de proceso novedoso basado en salas limpias que permite la creación fácil de tales estructuras 3D jerárquicas de varios niveles en un sustrato. Esto se logra mediante la introducción de una capa de máscara dura de dióxido de silicio de sacrificio ultrafina en el sustrato que primero se modela en 3D a través de múltiples rondas de litografía. Este patrón 3D luego se escala verticalmente por un factor de 200–300 y se transfiere al sustrato debajo a través de un paso de grabado profundo de un solo disparo. El método propuesto también es fácilmente caracterizable: utilizando características de diferentes topografías y dimensiones, se cuantificaron las tasas de grabado y las selectividades; esta información de caracterización se usó más tarde al fabricar estructuras objetivo específicas. Además, este estudio compara exhaustivamente la nueva técnica de transferencia de patrones con métodos ya existentes para crear estructuras de varios niveles, como la litografía en escala de grises y el apilamiento de chips. Se descubrió que el proceso propuesto era más barato, más rápido y más fácil de estandarizar en comparación con otros métodos; esto hizo que el proceso general fuera más confiable y repetible. Esperamos que fomente más investigaciones sobre estructuras híbridas que son la clave para mejoras de rendimiento drásticas en varios dispositivos de micro-mesoescala.

Los avances en las técnicas de procesamiento micro-nano basadas en litografía han revolucionado la tecnología en todo el mundo por su capacidad para producir estructuras en masa de manera rentable que van desde una escala de longitud inferior a 10 nm hasta una escala milimétrica. Algunas de estas estructuras incluyen componentes electrónicos a escala nanométrica como FET, IGBT1, funciones submicrónicas como guías de ondas ópticas2, lentes de Fresnel3, dispositivos fotónicos4 y dispositivos micronanofluídicos5. Las características de escala micro (1–100 μm) y meso (0,1–1 mm) ligeramente más grandes son aún más útiles en la tecnología moderna y han tenido innumerables aplicaciones en microfluídica6, tecnologías de refrigeración7,8, investigación de baterías9, sorción-desorción10, desalinización11 y catálisis12 . Aunque omnipresente, versátil e indispensable como técnica de fabricación micro-nano, la litografía convencional basada en salas limpias sufre una limitación importante. Este tipo de procesamiento puede crear eficientemente solo estructuras 2.5D o de un solo nivel (Fig. 1a, b), pero no puede crear de manera confiable estructuras jerárquicas 3D, híbridas y de múltiples niveles (estructuras con más de un nivel de altura o profundidad como se muestra). en la Fig. 1c-e) de profundidades superiores a 1-5 μm. A través de la ruta convencional LELE (Litho-Etch Litho-Etch), un diseño/patrón 2D (control total disponible sobre el diseño de características en 2D) primero se coloca litográficamente sobre una capa de máscara de sacrificio [generalmente, un polímero fotosensible llamado fotorresistencia (PR)] en la oblea. Esta máscara ahora se usa como protección para grabar la parte expuesta del diseño en la oblea. A través de una ronda de 'litografía + grabado', todo el diseño se puede grabar solo a una profundidad específica, lo que da lugar a una estructura de un solo nivel. El proceso de sala limpia LELE convencional normalmente requeriría varias rondas de 'litografía + grabado' consecutivas para lograr las estructuras de varios niveles deseadas (Fig. 1f–i). El cuello de botella surge debido a una segunda ronda de litografía insatisfactoria (Fig. 1i) en obleas que ya han pasado por una ronda de 'litografía + grabado' y, por lo tanto, tienen características grabadas (altura ≥ 5 μm) en ellas. Esto se presenta como un obstáculo importante para la fabricación en un momento en que las estructuras híbridas son la clave para lograr mejoras drásticas en el rendimiento de los dispositivos existentes. (Se pueden encontrar detalles adicionales sobre la utilidad de las estructuras híbridas en la sección "Impacto").

Dos tipos de estructuras, 2.5D y 3D. (a,b) Muestra estructuras de un solo nivel, donde todas las características tienen la misma profundidad/altura. Estas son también las estructuras a las que nos referiremos como estructuras 2.5D en el resto de esta carta. (c–e) En contraste con las estructuras 2.5D, mostramos dos estructuras completamente 3D diferentes que son de varios niveles; diferentes partes de la oblea tienen diferente profundidad/altura de grabado. (d) Es una versión fabricada de (c). (1) LELE convencional (f) PR de capa giratoria, la uniformidad de la capa de PR es fundamental para el éxito de los procesos posteriores (g) Exposición y revelado completos para hacer un patrón 2D en el PR (h) Grabado profundo de Si para hacer una estructura de un solo nivel primero. (i) La segunda ronda de recubrimiento por rotación de PR falla si la altura de la estructura grabada es más alta que el espesor de la capa de PR (4–10 μm). (2) Apilamiento de chips (j) Estructura objetivo de 3 niveles. (k, l) Estructura de múltiples niveles primero dividida en múltiples estructuras de un solo nivel que deben fabricarse en obleas separadas y luego unirse. (m) Limitaciones del apilamiento de fichas. (3) Litografía en escala de grises (n,o) Después del recubrimiento de PR, se realizan combinaciones de litografía de dosis completa (energía) y dosis parcial para crear una estructura 3D en el PR. (p) El grabado transfiere el patrón 3D del PR al Si debajo. (q) Limitaciones de la litografía en escala de grises principalmente asociadas con dosis parciales de gris.

Las estructuras 3D multinivel se pueden fabricar con facilidad a partir de materiales blandos como PDMS (polidimetilsiloxano), termoplásticos que utilizan técnicas de deformación (litografía blanda de dos pasos13, litografía secuencial térmica14 y UV15 de nanoimpresión (NIL), litografía de fuerza capilar16, impresión de nanotransferencia ( NTP)17), pero todavía faltan métodos fiables para la fabricación de estructuras multinivel 3D en material rígido como el silicio18. Recientemente, la litografía de dos fotones ha permitido la fabricación de complicados patrones completamente en 3D en fotopolímeros19,20, pero estos sistemas tienen un volumen de impresión extremadamente pequeño (Nanoscribe GT, un sistema de fotones múltiples de última generación utilizado en la academia y la industria puede imprimir un volumen máximo de 300 × 300 × 300 μm3) con tiempos de escritura igualmente largos de más de 12 h por estructura. Esta razón hace que la litografía de dos fotones sea prohibitivamente cara de usar y difícil de integrar en escenarios comerciales de fabricación en masa20,21,22. Otra técnica llamada litografía en escala de grises23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44 ha ganado cierta tracción en los últimos años, aunque, este método suele ser costoso, tedioso, difícil de caracterizar22,45,46,47,48. En este enfoque, se utilizan varias dosis grises que tienen una energía menor que la dosis completa para la energía clara para iluminar la fotoprotección (PR). El PR en esta dosis de gris, las zonas expuestas experimentan una reacción fotoquímica parcial y, cuando se desarrollan, solo una parte de la resistencia se elimina por lavado; el control preciso de la energía y el plano focal de la luz expuesta da como resultado una estructura 3D de varias alturas en la resistencia y posteriormente transferido al sustrato debajo (Fig. 1n-q). Sin embargo, rápidamente se encontró que las dosis de exposición al gris estaban asociadas con varios problemas incontrolables22,45,46,47,48,49. Morgan et al. atestiguó esta dificultad citando la falta de estandarización de los pasos del proceso de litografía en escala de grises. Según ellos, esto surge debido al control severamente limitado sobre varios parámetros que está inherentemente asociado con la exposición a la dosis gris47. Algunos de estos desafíos encontrados en la litografía en escala de grises son: modelado de máscara complicado y costoso22,48, distorsión de la dimensión dependiente de la dosis que empeora en tamaños de características inferiores a 10 μm49, desplazamiento dependiente del tamaño de la característica de la curva de respuesta característica de los fotoprotectores49, formación de pozos de perfil y disminución de la pared lateral en dosis grises, superficie PR desordenada posterior al desarrollo. Todos estos problemas hacen que el perfil de resistencia objetivo sea extremadamente difícil de lograr22. Estos problemas deben abordarse perfectamente a través de una caracterización experimental extensa y una tediosa corrección de errores basada en modelos numéricos para el éxito general del proceso22. Morgan et al. Además, continúa afirmando que la creación de características grises controladas con precisión depende en gran medida de las condiciones del proceso y opera dentro de tolerancias de proceso tan estrictas que la transferencia de conocimientos de recetas o procesos de un laboratorio a otro es casi imposible. Pequeños cambios en el equipo y el entorno del proceso provocan cambios drásticos en el proceso de escala de grises47.

Recientemente, varios investigadores han desarrollado una ingeniosa técnica de procesamiento de doble cara50,51,52,53 y con esta técnica pudieron crear estructuras múltiples 3D de 2 niveles para puntos calientes de electrónica de alta potencia (huella de 25–100 mm2) enfriamiento. Más tarde, Hazra et al. demostró con éxito la escalabilidad de este flujo de proceso para crear un área extremadamente grande (≥ 500 mm2) de alto flujo de calor 3D micro-refrigeradores manifold54. Sin embargo, este método solo es adecuado para la creación de estructuras de 2 niveles muy específicas que se pueden hacer mediante la intersección de dos diseños grabados desde ambos lados de la oblea. Además, el rendimiento de las estructuras 3D realizadas mediante técnicas convencionales o de microlitografía de doble cara en obleas rígidas de Si cae drásticamente a alrededor del 50 % debido a la manipulación manual de obleas frágiles que ya han pasado por una ronda de grabado profundo de Si54,55. Por lo tanto, comercialmente, la creación de estructuras multinivel más altas (≥ 10 μm) se ha realizado tradicionalmente mediante métodos de apilamiento de chips50,56. En este enfoque, un diseño totalmente 3D se divide en varias estructuras 2.5D diferentes; estas estructuras 2.5D se fabrican en obleas separadas usando 'litografía + grabado' convencional que luego se apilan juntas usando tecnologías de unión eutéctica delgada o unión de matriz de soldadura (Fig. 1j-m). Los espesores de las obleas utilizadas para cada una de estas capas independientes determinan las alturas de los escalones que se pueden lograr a través de este proceso y, a menudo, para lograr alturas de escalones pequeños, las obleas deben adelgazarse con una herramienta de esmerilado. El adelgazamiento de la oblea no es posible por debajo de 30 a 50 μm, lo que impone una limitación bastante grande en la altura mínima del paso o la resolución vertical de este proceso. Además, las obleas extremadamente delgadas son propensas a deformarse, astillarse y romperse. Las configuraciones finales de apilado de chips unidos son de corta duración y poco confiables, siendo los sitios de unión la fuente principal de fallas. Estos problemas se presentan con mayor frecuencia en dispositivos que pasan por esfuerzos mecánicos o térmicos cíclicos masivos23,24, especialmente en dispositivos de enfriamiento microfluídicos de alto flujo de calor. Además, las técnicas de apilamiento de chips tienen sus limitaciones en cuanto a las configuraciones de dispositivos que pueden fabricar, ya que las capas intermedias de obleas de la pila no pueden tener estructuras independientes (por ejemplo, las estructuras de matriz de aletas de clavijas de varios niveles no se pueden fabricar con esta técnica). Por lo tanto, la comunidad de microfabricación actual necesita desesperadamente un proceso estandarizado y fácil de caracterizar para crear estructuras de niveles múltiples de micro-mesoescala altas (≥ 100 μm) de alta relación de aspecto que sea simple, rentable, que pueda operar entre tolerancias de proceso razonables, y, por lo tanto, en última instancia, fácilmente traducible de un laboratorio a otro.

En este artículo, hemos descrito un nuevo proceso de transferencia de patrón de dióxido de silicio a silicio que puede crear de forma fiable estructuras de varios niveles utilizando técnicas de fotolitografía y, al mismo tiempo, resolver varios de los desafíos prácticos que surgen al emplear métodos de última generación como chips apilamiento y litografía en escala de grises. El proceso de transferencia del patrón se logra a través de un paso de grabado profundo de silicio de un solo disparo que se traduce en una mejora en el rendimiento de fabricación de más del 40%. Además, la selectividad de grabado de Si:SiO2 es más de un orden de magnitud superior en comparación con las selectividades de grabado de Si:PR25,26,27,28,29,30,49, lo que nos permite crear realmente alto (hasta 500 μm), alto estructura de relación de aspecto (~ 10–15) que será inmensamente útil en aplicaciones que se basan en características de mesoescala. El proceso descrito emplea una exposición de dosis completa y, por lo tanto, elude todos los desafíos y dificultades asociados con la exposición de dosis parcial de gris. La eliminación del paso de exposición de grises elimina simultáneamente los parámetros "difíciles de controlar" que están inherentemente asociados con los pasos de exposición parcial en la litografía de grises47. Los únicos parámetros a caracterizar están asociados con el grabado de dióxido de silicio y silicio, lo que hace que este nuevo proceso sea fácil de generalizar y no requiera tolerancias de proceso extremadamente estrictas. Esta carta menciona un método de caracterización simple y detalla datos sobre el grabado de SiO2 y Si específicos para las herramientas y las condiciones de paso utilizadas. Junto con una fácil caracterización y estandarización, el proceso también emplea herramientas y procesos basados ​​en salas limpias de uso muy común para crear una microestructura de varios niveles, lo que facilita mucho la transferencia de conocimientos de un laboratorio a otro. Finalmente, esta carta muestra una prueba de concepto de este método mediante la realización de dos rondas de 'litografía + grabado' y muestra imágenes SEM de varias microestructuras de 2 y 3 niveles realizadas. Sin embargo, las posibilidades en términos de tipos de estructuras, topologías, configuraciones y escalas de longitud son infinitas. Finalmente, esta carta termina enumerando algunas aplicaciones interesantes de estas nuevas estructuras híbridas cuya fabricación ahora es posible y que podrían allanar el camino para las próximas generaciones de microfluidos y tecnologías de refrigeración de alto rendimiento.

El novedoso proceso descrito en este documento se inspira en las técnicas LELE de multilitografía y la litografía en escala de grises. Combina inteligentemente los dos para la fabricación confiable de estructuras 3D de varios niveles y resuelve simultáneamente varios desafíos prácticos asociados con la técnica de litografía en escala de grises basada en relaciones públicas. En la litografía convencional (Fig. 1f-h), la creación de características grabadas generalmente sigue estos pasos: recubrimiento de Photoresist (PR) en la oblea (Fig. 1f); exponer el diseño 2D en el PR con luz de longitud de onda y energía adecuadas que provoca una reacción fotoquímica en el PR y lo hace soluble en un solvente especial llamado revelador (los reveladores suelen ser soluciones altamente diluidas de bases extremadamente corrosivas como TMAH, hidróxido de tetrametilamonio). Después de la exposición, el revelador se usa para lavar las partes de la PR que habían quedado expuestas en el paso anterior, dejando así un patrón 2D de PR en la superficie de la oblea (Fig. 1g). El grabado de silicio de Bosch basado en iones reactivos profundos se realiza posteriormente para grabar las partes expuestas de la oblea hasta la profundidad deseada y nos queda una estructura de un solo nivel con todas las características que tienen la misma profundidad en la oblea de silicio (Fig. 1h ). Para lograr una estructura de múltiples profundidades usando esta técnica convencional, se utiliza un flujo de proceso llamado LELE (litho-etch-litho-etch). En este proceso, la secuencia de pasos 'litografía + grabado' debe repetirse varias veces con un diseño de exposición diferente y diferentes tiempos de grabado en cada paso. El desafío principal surge en el segundo paso de la litografía, donde se intenta girar PR en la oblea con características ya grabadas. El proceso de recubrimiento por rotación funciona a través de un charco de PR que se dispensa en el centro de una oblea de silicio que gira a altas RPM, lo que hace que se extienda radialmente hacia afuera para crear una capa delgada, uniforme y conformada sobre la oblea. El proceso de hilado en una oblea ya grabada es satisfactorio (delgado y uniforme) cuando el grosor de PR (4–10 μm) es mucho mayor en comparación con la altura de grabado de las características. Por lo tanto, en algunos casos de fabricación de circuitos integrados, donde la altura de la característica ya grabada es ≤ 1–4 μm, el proceso LELE funciona perfectamente. Sin embargo, en varias aplicaciones útiles de microfluidos, refrigeración líquida, óptica y fabricación de semiconductores, estas profundidades de grabado son de escala micro-meso y pueden oscilar entre 10 μm y 500–600 μm. El giro PR en alturas de paso más grandes (más de 5 a 10 μm) conduce a un recubrimiento insatisfactorio (Fig. 1i). Varios problemas como la formación de rayas (la capa de PR se arruga después de chocar con una característica u obstáculo grabado), la digitación (la PR queda atrapada en una cavidad/canal profundo y avanza solo a lo largo de esos canales) y la cobertura incompleta (la PR golpea la esquina de una característica grabada y al no cubrir el resto de la oblea) estropean el proceso de recubrimiento por rotación en las segundas rondas de litografía. Esto provoca la falla del proceso de exposición aguas abajo, cuyo éxito depende exclusivamente de la uniformidad de la capa de PR, lo que lleva a la falla del proceso general.

Identificamos dos problemas principales en los métodos convencionales que generan complicaciones en la fabricación confiable: problemas de revestimiento PR insatisfactorios en estructuras grabadas con una altura ≥ 5 μm en el procesamiento LELE; y problemas inevitables e incontrolables asociados con la exposición parcial a la dosis de gris en la litografía en escala de grises. En este contexto, hemos inventado un flujo de proceso novedoso utilizando herramientas de sala limpia de uso común que mitiga todos estos problemas y nos permite crear estructuras jerárquicas de varios niveles con facilidad. Inspirándonos en el principio de la litografía en escala de grises de modelar en 3D el material de la máscara de grabado, primero introdujimos una capa de sacrificio ultradelgada de SiO2 entre la PR y la oblea de silicio; la capa de SiO2 ahora actúa como material de enmascaramiento durante el proceso de grabado profundo de Si en lugar de PR. La idea es realizar varias rondas de litografía LELE convencional para modelar esta capa de máscara de SiO2 recién introducida, en lugar de intentar modelar directamente el silicio que se encuentra debajo. Después de esto, mediante un grabado profundo de Si, este patrón 3D de varios niveles en el SiO2 se escala verticalmente y se transfiere al silicio; el flujo general del proceso se muestra esquemáticamente en la Fig. 2. En el primer paso de este flujo del proceso, el delgado ( Primero se deposita una capa de 1 a 3 μm) de SiO2 en la oblea a través de la deposición química de vapor (CVD) a 250–350 °C o el proceso de oxidación térmica (≥ 850 °C) (Fig. 2b). Alternativamente, para hacer que el proceso sea más amigable con BEOL (final de línea), se puede usar el proceso CVD mejorado con plasma de alta densidad (HDPECVD) que puede depositar SiO2 de alta calidad pero a una temperatura mucho más baja de 90–120 °C por utilizando plasma direccional para mejorar el proceso de deposición. Después del crecimiento/deposición de la capa de SiO2, se realizan múltiples rondas de litografía en la capa de SiO2 con diferentes diseños y tiempos de grabado de SiO2 en cada una de las rondas (Fig. 2c-h). Dado que la capa de SiO2 es ultradelgada, las alturas máximas de los pasos de grabado (≤ 3 μm) en SiO2 siempre son menores que el espesor de la capa PR (4–10 μm) que se extiende sobre ellos durante el proceso de hilado. Estas características de baja relación de aspecto en el SiO2 no interfieren con el proceso de hilado de PR, lo que da lugar a capas de PR perfectamente uniformes y conformes en la capa de SiO2 durante los múltiples pasos de litografía (Fig. 2f). Después de grabar el perfil 3D deseado en la capa de SiO2 a través de varias rondas de litografía, la oblea se coloca en un grabador profundo de Si que logra perfiles anisotrópicos en Si a través de un proceso de iones reactivos profundos (DRI) multiplexado en el tiempo, a menudo también denominado proceso de grabado de Bosch54 . Este paso de grabado es un proceso de un paso que escala el perfil 3D en el SiO2 verticalmente por la selectividad de grabado Si:SiO2 y lo transfiere al silicio debajo (Fig. 2i). Dado que este paso de grabado es un proceso de disparo único, también elimina la manipulación manual de obleas frágiles grabadas en profundidad como en el apilamiento de chips o el proceso LELE convencional, mejorando así el rendimiento del proceso de un 50 a un 90 %. Además, estas estructuras ahora son monolíticas o están hechas de un solo sustrato de Si a granel, lo que elimina las varias capas de unión que serían necesarias en el enfoque de apilamiento de chips, lo que aumenta la confiabilidad y robustez del dispositivo; las fallas inducidas por ciclos de estrés térmico y mecánico en configuraciones de chips apilados se evitan de manera efectiva. Todos los efectos antes mencionados combinados darían como resultado un tiempo de procesamiento más rápido, más rendimiento, un mayor rendimiento en escenarios de fabricación industrial en masa y, en última instancia, dispositivos más baratos. Los dos problemas principales mencionados al comienzo de esta sección también se mitigan a través de este flujo de proceso: el uso de SiO2 ultradelgado elimina los problemas del revestimiento por rotación PR sobre los pasos grabados en SiO2 y la realización de una litografía basada en la exposición de dosis completa para modelar el SiO2. capa elimina los problemas difíciles de caracterizar asociados con la litografía de dosis gris. Además, el SiO2 como máscara dura de grabado proporciona una selectividad de grabado muy alta (200–300) con respecto al Si, que es más del doble que la selectividad máxima de Si:PR de 80–100. Esto nos permite crear fácilmente estructuras de mesoescala de más de 500 μm utilizando SiO2 extremadamente delgado (≤ 3 μm).

Flujo de proceso para la creación de estructuras de varios niveles utilizando un enfoque novedoso. (a) Limpie la oblea desnuda sin características; (b) Se deposita material de enmascaramiento ultrafino intermedio; en nuestro caso, se deposita SiO2 por CVD; (c) la hilatura de la fotoprotección (PR) es uniforme, este proceso no se ve obstaculizado porque es más gruesa que la capa subyacente de SiO2, la exposición del Diseño 1 y el revelado; (d) Usando PR como capa de máscara, el SiO2 subyacente se graba en una cantidad precisa, \({t}_{1}\); (e) despojar a PR; (f) Se realiza la segunda ronda de litografía: en esta situación, el espesor de PR es al menos 1,5 veces el espesor máximo de la característica de SiO2 que ya se encuentra en la oblea, por lo que el proceso de recubrimiento por rotación es exitoso y produce una fina capa de conformación en todo el SiO2 presentado en 3D. Esta vez, el diseño 2 se grabó en SiO2 a una profundidad diferente, \({t}_{2}\); (g) Después de dos rondas de litografía, se hace una estructura de 2 niveles en el SiO2; (h) Después de dos rondas más de litografía, se pueden hacer 2 niveles más. En teoría, \(n\) rondas de litografía pueden hacer al menos \(n\) niveles en la estructura; (i) La oblea con una capa de SiO2 estructurada en 3D ahora se graba en un grabador de iones reactivos (RIE) de Si profundo para escalar verticalmente el patrón 3D de SiO2 por la selectividad de Si:SiO2 (que es de alrededor de 200–300 para nuestro caso) y transferir a la oblea de silicio debajo. Finalmente, nos quedamos con un nivel \(n\), estructura de alta relación de aspecto, estructura profunda en Si; (j) A diferencia de la estructura de varios niveles, esta es una estructura de un solo nivel que se muestra a modo de comparación.

También es importante tener en cuenta que todos los pasos utilizados para realizar este proceso fluyen como la litografía (RP giratoria, exposición de diseño de características de menos de 10 μm, desarrollo), deposición o crecimiento de SiO2 en oblea de Si, grabado de iones reactivos (RIE) de SiO2 y El grabado DRI de silicio se emplea muy comúnmente en la comunidad de microfabricación de salas limpias. Esto permite transferir fácilmente el conocimiento del proceso de un laboratorio a otro, algo que es casi imposible para la tecnología de escala de grises47. Además, este flujo de proceso utiliza solo litografía de exposición completa, que se ha caracterizado y documentado ampliamente para diferentes tipos y espesores de resistencia positiva y negativa. La eliminación de la exposición a la dosis gris soluciona algunos de los problemas inevitables asociados con la tecnología de escala de grises, como el cambio de la curva de respuesta PR inducida por la dosis gris, el perfil de dosis gris, el desarrollo posterior de la superficie desordenada, la distorsión de la dimensión dependiente de la dosis gris22,45,46,47, 48, 49, etc. En ausencia de estos problemas, también se evitan de manera eficaz pasos costosos y tediosos de corrección de errores de perfil numérico y experimental que de otro modo serían necesarios. La única caracterización requerida está relacionada con el grabado de SiO2 y Si, los cuales también han sido ampliamente caracterizados por numerosos investigadores anteriores. A pesar de que estos procesos son muy comunes y sus datos de caracterización están ampliamente disponibles en la comunidad de microfabricación, hemos detallado algunos datos de caracterización más adelante en la sección específica de las herramientas y recetas que hemos empleado para proporcionar un punto de partida para cualquiera que busque fabricar tales estructuras. La información sobre las herramientas y recetas específicas utilizadas en nuestro estudio se puede encontrar en la Tabla 1 de Información Suplementaria (SI). La Tabla 3 del SI también contiene una comparación tabular de este nuevo método con los procesos existentes de apilamiento de chips y litografía en escala de grises (enmascarado y sin máscara).

Las pruebas preliminares que utilizan este flujo de proceso novedoso (Fig. 2) han demostrado la capacidad de crear características jerárquicas 3D de dimensiones nominales (ancho) ~ 5–10 μm con relaciones de aspecto (relación alto-ancho) de hasta 10–15. La resolución se puede mejorar aún más a una escala inferior a 500 nm mediante el uso de litografía de haz de electrones en lugar de la fotolitografía convencional. El flujo del proceso de creación de estructuras multinivel se probó 5 veces con diferentes magnitudes de alturas de paso (250 nm a 1,5 μm) para establecer la confiabilidad y la repetibilidad.

La resolución y la repetibilidad del proceso dependen de nuestra capacidad para caracterizar con precisión la tasa de grabado de silicio, la tasa de grabado de SiO2 y la selectividad de grabado de Si:SiO2. Se construyeron dos máscaras de caracterización de modo que, cuando se alinean litográficamente, contengan pequeños parches de diseño de microcanales rectos superpuestos y matrices de pilares cuadrados. Se grabaron tres obleas de caracterización diferentes en cantidades variables con estas dos máscaras de litografía en tres días diferentes. Estas dos máscaras se grabaron durante duraciones variables utilizando un plasma de 600–800 W de CHF3 y CH4 en una proporción de 3:1 en una herramienta de grabado de iones reactivos llamada Oxford RIE, para generar pasos de alturas de paso que varían entre 250 nm y 1,5 μm en el SiO2. capa. La receta de grabado de SiO2 se eligió de tal manera que la selectividad de SiO2:PR fuera ≥ 1. Esto asegura que la capa de PR (4–10 μm) sea siempre lo suficientemente gruesa para grabar completamente el SiO2 más delgado (3 μm) debajo, eliminando así uno más parámetro (selectividad de grabado SiO2:PR) de necesitar una caracterización precisa. En este escenario, solo la información de la tasa de grabado de SiO2 se vuelve importante. Los hallazgos del grabado de SiO2 se resumen en la Fig. 3. Los datos sin procesar a partir de los cuales se construyó la Fig. 3 se pueden encontrar en la Tabla 2 del SI.

Para establecer la repetibilidad y la estandarización de nuestro método, es imperativa la caracterización precisa de la tasa de grabado de óxido. La oblea de caracterización que consiste en canales rectos y conjuntos de pilares cuadrados se grabó durante duraciones variables (1 a 100 s) y el grabado por segundo en Å/s se ha trazado como una función del tipo de característica y las dimensiones. Los datos sin procesar utilizados para trazar estas curvas se pueden encontrar en la Información complementaria. Se encontró que el grabado por segundo era estable alrededor de 5 nm/s. Sin embargo, la observación importante es el hecho de que no se observa grabado dependiente de la relación de aspecto (ARDE) en SiO2 (se encontró que la variación máxima en el grabado por segundo en diferentes geometrías era ~ 1 nm/s) mostrando un valor consistente de grabado por segundo para diferentes características de dimensión y condiciones de carga. Se observó que el grabado por segundo está más influenciado por el tiempo de grabado total, especialmente cuando el tiempo de grabado total es bajo (≤ 5 s); este es el resultado de una distribución de plasma impredecible y no uniforme en la cámara de grabado cuando el tiempo de grabado es de solo 1 s. . En tiempos de grabado más altos (30 s, 60 s, 100 s), el grabado por segundo mostró menos variación y estuvo más cerca entre sí (45–50 Å/s), lo que indica un buen control del proceso, repetibilidad y confiabilidad.

Después de una caracterización detallada de la tasa de grabado de óxido utilizando nuestra receta específica, podemos construir con precisión estructuras 3D en óxido de silicio. Las estructuras objetivo para nuestros dispositivos de enfriamiento de flujo de calor extremo son extremadamente altas (~ 500 μm) y necesitan una capa de SiO2 de 3–4 μm como máscara. Como se mencionó anteriormente, hemos elegido una receta de grabado de óxido agresivo con buena selectividad de SiO2:PR de ≥ 1. Esto es necesario para poder grabar las capas gruesas de SiO2 (hasta 4–6 μm) usando una capa de PR relativamente más delgada. (4 μm, manteniendo así una resolución inferior a 10 μm). Sin embargo, elegir una receta agresiva de grabado de SiO2 (con un alto valor de grabado por segundo) conduce a un empeoramiento de la resolución vertical de nuestras estructuras objetivo. Como se ve en la Fig. 3, que traza el grabado por segundo (Å/s) como una función del tiempo de grabado total y la dimensión de la característica, la tasa de grabado promedio estuvo bien controlada dentro de 45 y 54 Å/s para una amplia gama de objetivos. estructuras y para todas las duraciones de grabado por encima de 1 s. A 1 s, el grabado carece severamente de plasma y la velocidad de grabado es mucho más baja, ~ 30 Å/s. Además, en 1 s, el plasma no tiene tiempo suficiente para distribuirse en la cámara de manera uniforme, lo que también conduce al grabado dependiente de la relación de aspecto (ARDE), donde las dimensiones de las características influyen más en la velocidad de grabado (esto se puede ver en gris (1 s etch) gráfico de líneas en la Fig. 3). Por lo tanto, la resolución vertical de las estructuras 3D que utilizan nuestra receta de grabado está determinada por un mínimo de 2 s de grabado y está limitada alrededor de 10 nm en la capa de SiO2. Esto se traduce en alrededor de 2 a 3 μm cuando el paso se escala y se transfiere a la oblea de silicio a través de DRIE. La receta de grabado se puede ajustar (se pueden reducir las tasas de flujo de los gases respectivos, se puede disminuir la proporción de CHF3 y CH4) para que sea menos agresiva y, por lo tanto, se reduzca el valor de grabado por segundo; esto conducirá a un mejor control del grabado y mejor resolución (por debajo de 10 nm) en la estructura 3D de SiO2, aunque a costa de reducir la selectividad de SiO2:PR. Después de la caracterización del paso de grabado con óxido, se utilizó una receta de grabado profundo de silicio en Plasma-Therm Deep Silicon Etcher (PTDSE) para la transferencia de patrones. Esta receta también se caracterizó utilizando una oblea de prueba con canales rectos de anchos de 100 a 200 μm. Se encontró que la selectividad de grabado promedio de Si:SiO2 sobre una profundidad total de grabado de 200 μm era de alrededor de 270–290. Esta receta de grabado fue desarrollada ampliamente por un trabajo previo de Hazra et al. quienes informaron una selectividad de grabado de 220-240 y una tasa de grabado de 8 μm/min54. La receta DSE utilizada por Hazra et al. también fue extremadamente agresivo para acomodar su altura de grabado total extrema de 1000 μm, aunque esta receta agresiva conduce a una selectividad reducida de Si:SiO2. En nuestro presente estudio, la receta se modificó ligeramente (el paso de grabado de silicio, el tiempo 'etchA' se redujo a 3,1 s desde 3,354) para aumentar la selectividad y lograr un perfil de grabado más recto y anisotrópico. Se investigó la progresión del grabado para nuestras estructuras de caracterización (matrices de canales rectos colocados perpendicularmente de diferentes anchos y espacios entre 100 y 400 μm). Se encontró que se grabaron 172 μm de silicio para 0,61 μm de óxido, lo que hace que la selectividad de grabado promedio de Si:SiO2 sea ~ 282. Algunas de las estructuras multinivel finales obtenidas a través de este flujo de proceso se muestran en la Fig. 4.

Demostración de estructuras 3D utilizando nuestro método de fabricación. (a) Estructuras de aleta de pasador de varios niveles (vista isométrica), estos tipos de estructuras no se pueden fabricar mediante técnicas convencionales de apilamiento de chips o grabado a doble cara; (b) (vista lateral) matriz de aletas de clavijas de varios niveles; c) aletas y orificios para pasadores; (d) microcanales rectos desplazados de la superficie de la oblea (la capacidad de hacer que la microestructura esté ligeramente desplazada de la superficie tiene un enorme potencial para facilitar la unión, integración y empaquetado de diferentes componentes, especialmente para aplicaciones de refrigeración por flujo de calor extremo); (e) estructuras de aletas dentadas de diferentes proporciones y espaciamientos; ( f ) imagen ampliada de la muestra del orificio del pasador de aleta de alfiler; (g) (vista lateral) Estructura de aleta dentada que muestra 4 niveles distintos; (h) Vista isométrica de la aleta dentada de 2 niveles; (i) Diseños de máscaras superpuestas utilizadas para hacer aletas dentadas de 3 niveles; (j) Canales de 3 niveles con agujeros de alfiler hechos mediante la superposición de 2 diseños de máscara. Este tipo de estructuras tipo pin-fin o pin-hole más pequeñas distribuidas en una mesoestructura subyacente más grande es una forma fácil y viable de mejorar el rendimiento térmico de la zona activa de transferencia de calor en los enfriadores; (k) Aletas de pasador que sobresalen de la base del canal más altas que la altura de la pared lateral del canal (algunas aletas se rompieron durante el corte de la oblea); (l) Diseño de "silla" de 3 niveles hecho mediante diseños de máscaras de patrones cuadrados superpuestos en el costado de los canales; (m) El concepto inicial de la matriz de aletas de pasador modelada en canales de dos niveles sugiere nuestra capacidad para hacer también estructuras mejoradas de superficie bien ordenadas.

Finalmente, vale la pena mencionar que el concepto de fabricación propuesto, que consiste en modelar multilitográficamente una capa de enmascaramiento delgada y de baja relación de aspecto y luego transferir ese patrón a un sustrato subyacente a través del grabado de una sola toma, puede extenderse a otros materiales y sustratos de máscara. combinaciones también. En lugar de dióxido de silicio CVD, también se pueden usar capas delgadas de metal (Au, Pt, Cr, W, Al) u otro material de óxido (alúmina) o nitruro (SiNx) como capa de máscara. Se ha observado una selectividad de grabado superior de 105 durante la DRIE de silicio con una capa de máscara de Al31; por lo tanto, la combinación de esto con nuestro método permitirá la creación de estructuras multinivel con una relación de aspecto extrema (≥ 35). Estos nuevos materiales de enmascaramiento también se pueden depositar o cultivar en nuestra oblea a través de otras técnicas como la evaporación, la pulverización catódica, la deposición de capas atómicas (ALD) o la galvanoplastia, lo que hace que el concepto sea aplicable en una amplia gama de escenarios de fabricación. Para diferentes conjuntos de material de máscara y sustrato, el proceso de caracterización permanece relativamente sin cambios, y se requiere una sola ejecución usando una máscara de caracterización para cuantificar las tasas de grabado y las selectividades específicas de las herramientas y las condiciones del proceso utilizadas; estos parámetros luego se usarán para diseñar el flujo del proceso para obtener nuestras estructuras multinivel de destino final.

En la Fig. 4 se presentan diferentes tipos de características de varios niveles creadas con este método, con distintos anchos, alturas y topografías. Todas las estructuras de la Fig. 4 se realizan a través de dos rondas de litografía mediante la superposición de dos máscaras de litografía. En teoría, se pueden realizar varias rondas de litografía en la oblea para crear estructuras de nivel \(n\).

Por lo general, el número de pasos de 'litografía + grabado de SiO2' es igual al número de niveles requeridos en la estructura de niveles múltiples (observe la Fig. 2a-i), aunque pronto se dio cuenta de que se podrían hacer fácilmente más simplificaciones para reducir el número de pasos de procesamiento necesarios para estas estructuras. Por ejemplo, la altura del escalón final en la capa de SiO2 podría reemplazarse por completo con un fotopolímero horneado, reduciendo así una ronda de 'grabado litográfico + SiO2'. Aunque esto requeriría diseñar el flujo de fabricación teniendo en cuenta las diferentes tasas de grabado y selectividades de PR, SiO2 y Si durante el proceso. Además de estas simplificaciones, los diseños de las máscaras pueden combinarse y superponerse inteligentemente entre diferentes rondas de 'lito + grabado de SiO2', lo que da lugar a más niveles utilizando un número menor de rondas de 'lito + grabado'. Se ha demostrado un ejemplo en la Fig. 5, donde dos rondas de 'lito + grabado' que involucran 2 máscaras podrían generar una estructura de 3 niveles. Más estructuras de este tipo se ven en la Fig. 4g, i, j, l, m, todas las cuales están hechas superponiendo 2 máscaras (los diseños exactos de máscara se dejan como ejercicios para el lector).

Superposición de diseños de máscaras para hacer estructuras complicadas. (a) Se expone el primer diseño de máscara (como se muestra en (d)) y se graba SiO2 en una cantidad de \({t}_{1}\); (b) El segundo diseño se superpone parcialmente en el paso realizado en el paso (a) y (c) El grabado de SiO2 se realiza para obtener una característica 3D de 3 niveles en el SiO2. Una contraparte 2D de esta estructura de 3 niveles se muestra en (e—iv) (d) Se muestran las dos máscaras para dos rondas de 'grabado litográfico + SiO2', la imagen de la derecha muestra cómo se superponen las máscaras. (e) Se ha verificado que pasos de hasta 1 μm en SiO2 no afectan el proceso de exposición. En caso de que la altura del paso sea ≥ 1,5 μm, podrían surgir diferencias en la calidad de la exposición entre los dos niveles de SiO2, 1 y 2. En esta situación, los pasos inferiores podrían estar subexpuestos, quedando PR, un paso de desescombrado más largo aguas abajo ( ≥ 2 min) soluciona el problema, eliminando todo este PR residual. ( f ) Después de DRIE, la estructura se escala verticalmente y se transfiere al Si subyacente, y se muestran las nuevas matrices de combinación pin-fin-hole de 3 niveles; (g) vista ampliada de la estructura 3D; (h) vista transversal lateral. Los números representan los diferentes niveles.

La Figura 5 muestra una estructura de aletas de pasador de varias alturas con una característica de pilar y bolsillo grabados juntos. También analiza brevemente un problema que podría surgir durante la fase de exposición de la litografía al hacer estructuras extremadamente altas. La precisión dimensional y la calidad de la exposición del alineador MLA Heidelberg Maskless (herramienta de exposición) depende de dos parámetros principales: la energía de la luz de exposición (dosis) y la ubicación del foco (desenfoque) con respecto a la superficie superior del PR, aunque la energía de exposición es el determinante primario. Se ha observado anteriormente que un cambio de ± 1 μm en el valor de desenfoque del plano focal óptimo no afecta significativamente el paso de exposición; esto sugiere que si las características 3D y las alturas de paso en el SiO2 son lo suficientemente bajas (submicras), la calidad de exposición en los dos pasos es relativamente buena. Se probaron diseños superpuestos para alturas de escalón de 0,5 a 1 μm y se encontró que las exposiciones eran satisfactorias en ambos niveles (Fig. 5a–c). Cuando se intenta la fotolitografía en alturas de paso de SiO2 que están separadas por más de 1,5 μm, las cualidades de exposición en los dos niveles diferentes de SiO2 pueden ser ligeramente diferentes (como se muestra en el esquema de la Fig. 5d-e, uno de los niveles podría ser sobreexpuesto o subexpuesto) y esto debe tenerse en cuenta durante el paso de exposición. Una solución fácil y rápida es elegir el plano focal de exposición de la luz de modo que la litografía de exposición completa ocurra en el nivel superior o en la parte superior del escalón. Al mismo tiempo, esto significaría que el nivel inferior (parte inferior del escalón) está subexpuesto y podría quedar PR residual. Esto se puede resolver aumentando la duración del paso de desescombrado aguas abajo a duraciones más largas (≥ unos pocos minutos). El tiempo de eliminación del plasma de O2 de potencia extra baja (50 W) eliminaría todo el PR residual de la superficie inferior del escalón de SiO2 y resolvería este problema. Aunque, estos casos podrían beneficiarse de una capa inicial de PR ligeramente más gruesa (≥ 5 μm) para acomodar el PR adicional que se está grabando durante el paso de desengrasado; esto asegurará que aún quede suficiente PR para grabar completamente la capa subyacente de SiO2 incluso después del paso de descum más largo.

El enfoque novedoso descrito en esta carta nos permite crear con precisión estructuras híbridas de varios niveles a través de un flujo de proceso fácil de caracterizar y estandarizable. Algunos de estos tipos de estructuras se muestran en las Figs. 4 y 5, pero las posibilidades son infinitas. Dado que la mayoría de estas estructuras son altas (≥ 100 μm, a menudo tan altas como 500 μm) con relaciones de aspecto altas (5–10) a extremas (15–25), anticipamos que este tipo de estructuras son las más adecuadas para micro a meso aplicaciones de refrigeración líquida y microfluidos a gran escala. La capacidad de crear estructuras tridimensionales, multifuncionales y jerárquicas es especialmente importante para el entorno de investigación académico e industrial en este momento, ya que innumerables aplicaciones de escala micro-meso pueden beneficiarse del rendimiento simplemente reemplazando las estructuras de dispositivos convencionales de un solo nivel en dispositivos multifuncionales y multifuncionales. características híbridas de alto nivel. Algunas de esas aplicaciones se enumeran en la siguiente sección.

Los dispositivos de microfluidos de tipo flujo tienen regiones activas con una amplia gama de funcionalidades, algunos ejemplos son la mezcla, la separación de partículas, la clasificación, la separación y el análisis5,32,33,34,35,36. Junto a la región activa, los dispositivos también constan de canales de flujo, entradas y salidas que generalmente tienen diferentes tamaños de características y en diferentes niveles en el dispositivo: los canales de flujo son más anchos, las entradas y salidas están grabadas para permitir conexiones de flujo dentro y fuera de el dispositivo. Uno de los enfoques más comunes para la fabricación de gran volumen de tales dispositivos es la litografía de nanoimpresión térmica o UV (NIL)14,15. Esto utiliza un patrón o molde rígido (a menudo hecho de Si) que se usa para crear el espejo inverso a partir de varios polímeros blandos como SU-8, PDMS, poliuretanos (PU), policarbonatos (PC), PMMA, etc. Actualmente, ningún método existe para la creación de moldes rígidos de varios niveles18; nuestro método será inmensamente útil en este contexto. Además, este proceso permitirá la fabricación sencilla de microestructuras de área activa y canales de flujo con control independiente de los anchos y altos de las características, lo que allanará el camino para la multifísica en el mismo dispositivo o chip57,58. Las rutas de flujo complicadas y las estructuras cubiertas internas como las demostradas por Duong et al.59 mediante la impresión 3D ahora se pueden hacer fácilmente al unir dos chips de silicio o sus correspondientes polímeros fundidos NIL. La microfluídica digital o basada en gotas también podría beneficiarse enormemente de tales estructuras de varios niveles57. Los pasadores y orificios de varios niveles cuidadosamente elaborados (como los que se muestran en la Fig. 4c, f) combinados con canales de varios niveles podrían usarse para crear, atrapar y transportar gotas de manera efectiva. Recientemente, las estructuras híbridas también han llamado mucho la atención de la comunidad optofluídica: Parks et al. demostró la integración de una capa de manejo de fluidos basada en PDMS con un sensor óptico de silicio para la detección de partículas individuales, pero también mostró su funcionalidad para otros fines, como el etiquetado de ADN, la detección de moléculas individuales, la mezcla, distribución y filtrado de partículas60. Otro beneficio de la presentación multinivel es la capacidad de hacer que las estructuras de la superficie también presenten varias posibilidades en el diseño de diseños de superficie bioinspirados con funcionalidades específicas13,39, por ejemplo, superhidrofóbico, hoja de loto autolimpiante, antiincrustante y piel de tiburón que reduce la fricción y texturas de conchas de moluscos, ojos de polilla antirreflectantes, estructuras de alas de mariposas fotónicas y microprotuberancias de "recolección de agua" como la piel del escarabajo de Namib. Poder combinar varias funcionalidades diferentes en el mismo chip nos impulsará a crear dispositivos lab-on-a-chip (LOC) más versátiles18 que tendrán un impacto masivo en bio-microfluidics5,58,60,61,62, permitiendo pruebas de reactivos de muestra de pequeño volumen basadas en gotas, ensayos biológicos y químicos, diagnósticos en el punto de atención, manipulación de células y ADN5,61,62 y pruebas, separación35, clasificación34 y análisis36. Estos tipos de materiales multinivel también tendrán un uso variado en situaciones que requieren mejoras de superficie y absorción, algunas de las cuales son absorción de agua, desalinización, captura de carbono, tecnología de baterías, mejora de adsorción, catálisis, tensión superficial o transporte impulsado por fuerza capilar6,7, 8,9,10,11,12 etc

Además, las estructuras híbridas de varios niveles probablemente tengan el impacto más significativo en la mejora del rendimiento del dispositivo en el campo de la solución de refrigeración líquida integrada. La hibridación del microcanal del lado de la placa fría (mediante la introducción de una micromecha o características superficiales en la parte inferior de un microcanal recto) conduce a un mayor rendimiento térmico en escenarios de enfriamiento de microcanal de alimentación forzada8. Zhu et al. informaron mejoras en el coeficiente de transferencia de calor del 17 % a más del 117 % para microcanales microestructurados en comparación con microcanales lisos, para micropilares de 25 y 75 µm de altura, respectivamente, usando metanol como fluido de trabajo sin un aumento significativo en la caída de presión56. Los difusores de calor pasivos como los tubos de calor y las cámaras de vapor con mechas biporosas híbridas en lugar de una monoporosa convencional mostraron una mejora significativa en sus capacidades de difusión del calor40. Dai et al. demostró que una mecha híbrida compleja cuando se usa en un tubo de calor conduce a un aumento masivo de 30 veces en la carga máxima de calor propagable en comparación con el cobre sólido41. Zhou et al. validó el rendimiento superior de las mechas híbridas de dos niveles en las cámaras de vapor al informar una disminución del 28 % y del 17 %, respectivamente, en la resistencia térmica del dispositivo en comparación con una mecha monoporosa y biporosa comercial de última generación TGP (plano de tierra térmica)42 . Además, nuestra capacidad para crear de manera confiable estructuras jerárquicas de varios niveles nos permitirá escalar agresivamente el dispositivo de enfriamiento activo basado en convección forzada utilizando una segunda capa múltiple 3D para una entrega eficiente de fluidos. El escalamiento de enfriadores de alto rendimiento es un objetivo inmensamente importante que se persigue en el campo del enfriamiento integrado; esto nos permitirá empaquetar componentes electrónicos de potencia densos en energía y continuar la tendencia de mejorar la velocidad de la electrónica y la densidad de energía8,43,52,54. Pan et al. realizó simulaciones numéricas en ANSYS Fluent para comparar el diseño de colectores de refrigeración (MMC) con los tradicionales 2D Coolers (TMC) y mostró que con las mismas tasas de flujo, los MMC pueden lograr niveles similares de rendimiento térmico que los TMC, pero alcanzan un enorme 4× a 6 × reducción en la presión total del dispositivo y, por lo tanto, una mejora de 4x a 6x en el coeficiente de rendimiento (COP)43. Además de los enfriadores activos, estas mechas híbridas de varias alturas también permitirán ampliar las tecnologías de dispersión de calor. Esto es posible ya que las características de múltiples profundidades cuando se combinan inteligentemente en la mecha del evaporador pueden resolver de manera efectiva las limitaciones de transporte de masa impuestas inherentemente por las mechas delgadas del evaporador en los difusores de calor de cambio de fase de líquido a vapor8. En los diseños de cámaras de vapor ultradelgadas, los pilares cortos se pueden colocar sobre los puntos calientes para contener una película de líquido muy delgada, lo que genera una resistencia térmica más pequeña y un rendimiento térmico superior, mientras que los pilares altos actuarán como rutas de reposición de líquido que suministren suficiente flujo de masa absorbente. desde el condensador hasta el evaporador sobre grandes áreas del dispositivo. Además de los usos mencionados anteriormente para estructuras de varios niveles, las superficies con microcaracterísticas 3D de transferencia de calor activa pueden mejorarse en la superficie (la Fig. 4m muestra mejoras en la superficie sobre canales estructurados de 2 niveles para crear una estructura general de 3 niveles). ) utilizando este nuevo método. Estas mejoras superficiales estarán bien ordenadas y sus dimensiones se controlarán exactamente, por lo que pueden reemplazar los métodos convencionales de creación de elementos estocásticos de rugosidad superficial (alambres44, tubos38, agujas63, brócoli64, pólipo65) que son más difíciles de controlar y repetir. Estas mejoras en la superficie conducen a mejoras masivas en las métricas del dispositivo al mejorar el transporte basado en la absorción capilar desde el condensador hasta el evaporador. Esto se ha demostrado mediante la creación de mechas híbridas utilizando rugosidad inducida por láser UV64,65, síntesis hidrotermal de nanocables de ZnO en microestructura de silicio56 y luego realizando pruebas de tasa de aumento capilar para demostrar que su tasa de absorción es mucho más rápida que sus contrapartes lisas no híbridas sin superficie. mejoras Las mejoras superficiales combinadas con estructuras multinivel aumentan adicionalmente el área de superficie total disponible para la transferencia de calor en escenarios de convección de alimentación forzada y evaporación de película delgada66, y conduce a un aumento de los sitios de nucleación de burbujas en regímenes de ebullición de flujo y piscina7,8,44.

Algunas de las aplicaciones antes mencionadas ayudan a motivar la superioridad de las estructuras híbridas. Se lograrán varios órdenes de mejora en muchas aplicaciones cuando las mechas convencionales monoporosas o de un solo nivel se reemplacen por mechas híbridas de varios niveles. Esperamos que esta receta estandarizada para la fabricación de tales estructuras multinivel fomente más investigaciones y, finalmente, la adopción de tales estructuras en dispositivos comerciales y escenarios de la vida real.

En esta carta, detallamos un novedoso proceso de transferencia de patrón de óxido de silicio (SiO2) a Si que utiliza litografía múltiple para modelar primero una capa delgada de SiO2 de baja relación de aspecto que luego se transfiere al sustrato de Si debajo a través de un grabado profundo de una sola toma. técnica. La selectividad de grabado extremadamente alta entre grabado Si:SiO2 de 200–300 nos permite crear estructuras de varios niveles de alturas extremas de ≥ 500 μm y una relación de aspecto extrema (≥ 10–15) en silicio con un óxido relativamente delgado (≤ 3). capa en la parte superior. Con la receta de grabado de óxido actual seleccionada, obtenemos una resolución estable de 90–100 Å en SiO2 durante 2 s de grabado, lo que produce una resolución de grabado de Si vertical de 2–3 μm en Si. Con más ajustes a la receta de grabado, el grabado de óxido por segundo se puede reducir y la resolución se puede refinar aún más. Este proceso proporciona varias ventajas sobre el apilamiento de chips convencional y los enfoques de litografía en escala de grises, que se resumen en detalle en la Tabla de información complementaria 3. El proceso novedoso se ha utilizado para crear miríadas de estructuras de niveles múltiples como se muestra en la Fig. 5 muestra cómo se pueden superponer varias máscaras de litografía para crear más niveles utilizando un número menor de pasos de litografía. Tales estructuras multinivel en la micro y mesoescala tienen aplicaciones de largo alcance en los campos de la microfluídica, las tecnologías de enfriamiento, la biología, la filtración y la energía, como se menciona brevemente en las secciones de Introducción e Impacto. Además, nuestro novedoso proceso resuelve varios desafíos prácticos de caracterización y estandarización que estropean el uso de la litografía en escala de grises y el proceso LELE convencional, para crear estructuras jerárquicas de varios niveles y, por lo tanto, podría decirse que es más adecuado para la fabricación comercial en masa, situaciones de alto rendimiento. La fabricación difícil y no estándar con tolerancias de proceso estrictas es la razón principal por la que las estructuras 3D de varios niveles no se observan regularmente en los dispositivos comerciales. Tener una receta estándar que se pueda traducir fácilmente de un laboratorio a otro abrirá una gama de posibilidades en la investigación y el desarrollo de tales estructuras híbridas para mejorar las funcionalidades y aumentar su rendimiento en muchos aspectos.

Todos los datos generados o analizados durante este estudio se incluyen en este artículo publicado y sus archivos de información complementaria.

Chen, Y. Nanofabricación por litografía por haz de electrones y sus aplicaciones: una revisión. Microelectrón. Ing. 135, 57–72 (2015).

Artículo CAS Google Académico

Bojko, RJ, Li, J., Baehr-Jones, T. y Hochberg, M. Estrategias de escritura de litografía por haz de electrones para guías de ondas ópticas de silicio de baja pérdida y alto confinamiento. J.Vac. ciencia Tecnología B 29, 06F309 (2011).

Artículo CAS Google Académico

Fricke-Begemann, T. & Ihlemann, J. Elementos microópticos híbridos por fabricación basada en láser de lentes de Fresnel en la cara final de lentes de índice de gradiente. Optar. Expreso 26(18), 23751–23759 (2018).

Artículo ADS CAS PubMed Google Scholar

Fedeli, JM et al. Desarrollo de dispositivos fotónicos de silicio utilizando herramientas microelectrónicas para la integración sobre una oblea CMOS. Adv. Optar. Tecnología 2008, 412518 (2008).

Artículo Google Académico

Wang, C., Nam, SW & Cotte, J. Integración a escala de obleas de chips de nanofluidos de sacrificio para detectar y manipular moléculas de ADN individuales. Nat. común 8, 14243 (2017).

Artículo ADS CAS PubMed PubMed Central Google Scholar

Gale, BK et al. Una revisión de los métodos actuales en la fabricación de dispositivos microfluídicos y perspectivas de comercialización futuras. inventos 3, 60 (2018).

Artículo Google Académico

Liang, G. & Mudawar, I. Revisión de la mejora de la ebullición de caca mediante la modificación de la superficie. En t. J. Transferencia de masa de calor. 128, 892–933 (2019).

Artículo Google Académico

Liang, G. & Mudawar, I. Revisión de la mejora de la ebullición del flujo del canal mediante la modificación de la superficie y los esquemas de supresión de la inestabilidad. En t. J. Transferencia de masa de calor. 146, 118864 (2020).

Artículo Google Académico

Lixiang, L., Weng, Q., Xueyi, S., Zhang, L., Schmidt, OG Avances en baterías de iones de litio en chip de tamaño micro (2017).

Chen, Y. et al. Microfabricación escalable de andamios de grafeno interconectados porosos tridimensionales con esferas de carbono para microsupercondensadores de alto rendimiento basados ​​en carbono. J. Materiomics 5, 303–312 (2019).

Artículo Google Académico

Zhang, Y., Xiong, T., Nandakumar, DK y Tan, SC Arquitectura estructural para la desalinización interfacial solar con rechazo de sal para lograr una evaporación de alto rendimiento con generación de energía in situ. Adv. ciencia Noticias 7, 1903478 (2020).

Artículo CAS Google Académico

Navin, CV, Krishna, KS, Theegala, CS & Kumar, CSSR Dispositivos Lab-on-a-chip para la síntesis de nanopartículas de oro y su función como soporte catalizador para la catálisis de flujo continuo. Nanotecnología. Rev. 3(1), 39–63 (2013).

Artículo CAS Google Académico

Greiner, C., Arzt, E. & Campo, A. Adhesivos jerárquicos tipo gecko. Adv. Mate. 21(4), 479–482 (2009).

Artículo CAS Google Académico

Hirai, Y., Yoshida, S. & Takagi, N. Análisis de defectos en litografía de nanoimpresión térmica. J.Vac. ciencia Tecnología B 21(6), 2765–2770 (2003).

Artículo CAS Google Académico

Kwak, R., Jeong, HE y Suh, KY Fabricación de estructuras monolíticas de puentes mediante litografía de fuerza capilar asistida por vacío. Pequeño 5(7), 790–794 (2009).

Artículo CAS PubMed Google Académico

Suh, KY & Lee, HH Litografía de fuerza capilar: patrones de área grande, autoorganización y deshumidificación anisotrópica. Adv. Función Mate. 12(6–7), 405–413 (2002).

3.0.CO;2-1" data-track-action="article reference" href="https://doi.org/10.1002%2F1616-3028%2820020618%2912%3A6%2F7%3C405%3A%3AAID-ADFM405%3E3.0.CO%3B2-1" aria-label="Article reference 16" data-doi="10.1002/1616-3028(20020618)12:6/73.0.CO;2-1">Artículo CAS Google Académico

Carlson, A., Bowen, AM, Huang, Y., Nuzzo, RG & Rogers, JA Técnicas de impresión por transferencia para ensamblaje de materiales y fabricación de micro/nanodispositivos. Adv. Mate. 24(39), 5284–5318 (2012).

Artículo CAS PubMed Google Académico

Kim, JU, Lee, S. & Kim, T. Avances recientes en litografía no convencional para desafiar estructuras jerárquicas 3D y sus aplicaciones. J. Nanomater. 2016, 7602395 (2016).

Google Académico

Meza, LR et al. Metamateriales de arquitectura jerárquica 3D resistentes. proc. nacional Academia ciencia PNAS. 112(37), 11502–11507 (2015).

Artículo ADS CAS PubMed Google Scholar

Piazza, A., Wu, Q. Estructuras metálicas 3D, porosas y galvanizadas utilizando plantillas de litografía de dos fotones de sacrificio (nanoscribe). Informe de investigación, ENGR241, SNF, Universidad de Stanford (2020). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/wq_finalreport_qw_ap.pdf.

Houbertz, R., Declerck, P., Passinger, S., Ovsianikov, A. y Serbin. Investigaciones sobre la generación de cristales fotónicos mediante polimerización bifotónica (2PP) de polímeros híbridos inorgánicos-orgánicos con pulsos láser ultracortos. J. física. Status Solidi A 204, 3662–3675 (2007).

Artículo ADS CAS Google Académico

Grushina, A. Litografía en escala de grises de escritura directa. Adv. Optar. Tecnología 8(3–4), 163–169 (2019).

Artículo ADS CAS Google Académico

Chuang, RW, Kim, D., Park, J. & Lee, CC Un proceso sin fundente para producir uniones de oro-estaño ricas en estaño en el aire. Trans. IEEE. componente Paquete Tecnología 27(1), 177–181 (2004).

Artículo CAS Google Académico

Hazra, S., Singh, Y., Asheghi, M., Goodson, KE Caracterización y prevención del desbordamiento de metal en la unión de chips eutécticos ultrafinos de Au-Sn para el empaquetado y la integración de microrrefrigeradores de flujo de calor extremo. En Actas de la Conferencia y exposición técnica internacional ASME 2020 sobre empaquetado e integración de microsistemas electrónicos y fotónicos, InterPACK 2020–2533 (2020).

Stilson. C., Pal, A., Coutu, RA Fabricación de estructuras de superficie 3D mediante litografía en escala de grises. En Actas de SPIE 8973: Tecnología de Procesos de Micromaquinado y Microfabricación XIX, No. 8973 (2014).

Deng, Q. et al. Fabricación de elementos microópticos con perfiles de superficie arbitrarios basados ​​en litografía en escala de grises sin máscara de un solo paso. Micromáquinas. 8, 314 (2017).

Artículo PubMed Central Google Académico

Dillon, T., Sure, A., Murakowski, J. & Prather, D. Fabricación de máscaras en escala de grises de tonos continuos utilizando vidrio sensible al haz de alta energía. J. Microlitogr. Microtejido Microsistema 3(4), 550 (2004).

Google Académico

Eckstein, H. et al. Litografía en escala de grises de alta dinámica con un paso a paso de microimagen basado en LED. proc. SPIE 9780, 97800T-T97801 (2016).

Artículo Google Académico

Huang, Y. & Jeng, J. Formación de una lente de zona de Fresnel: efectos de la fotoprotección en la litografía sin máscara con dispositivo de microespejo digital con exposición en escala de grises. J. Opt. Soc. Corea 16(2), 127–132 (2012).

Artículo CAS Google Académico

Ma, X. et al. Estudio experimental de optimización numérica para microestructuración 3-D utilizando litografía en escala de grises basada en DMD. J. Microelectromecánica. sist. 24(6), 1856–1867 (2015).

Artículo Google Académico

Bagolini, A., Scauso, P., Sanguinetti, S. & Bellutti, P. Grabado profundo de iones reactivos de silicio con máscara dura de aluminio. Mate. Res. Expresar. 6, 085913 (2019).

Artículo ADS CAS Google Académico

Zhou, B. et al. Diseño y fabricación de conjuntos de micropilares flexibles funcionalizados magnéticamente para una mezcla microfluídica rápida y controlable. Ficha de laboratorio 15, 2125–2132 (2015).

Artículo CAS PubMed Google Académico

Feng, J. et al. Identificación de nucleótidos individuales en nanoporos de MoS2. Nat. Nanotecnología. 10, 1070–1076 (2015).

Artículo ADS CAS PubMed Google Scholar

Wunsch, BH et al. Matrices de desplazamiento lateral a nanoescala para la separación de exosomas y coloides hasta 20 nm. Nat. Nanotecnología. 11, 936–940 (2016).

Artículo ADS CAS PubMed Google Scholar

Huang, LR, Cox, EC, Austin, RH & Sturm, JC Separación continua de partículas mediante desplazamiento lateral determinista. Ciencia 304 (5673), 987–990 (2004).

Artículo ADS CAS PubMed Google Scholar

Lam, et al. Mapeo del genoma en matrices de nanocanales para análisis de variación estructural y ensamblaje de secuencias. Nat. Biotecnología. 30(8), 771–776 (2012).

Artículo CAS PubMed Google Académico

Dou, X., Zhang, D., Feng, C. y Jiang, L. Estructuras superficiales jerárquicas bioinspiradas con humectabilidad ajustable para regular la adhesión de bacterias. ACS Nano 9(11), 10664–10672 (2015).

Artículo CAS PubMed Google Académico

Chen, C. et al. Condensación gota a gota en superficies superhidrofóbicas con rugosidad de dos niveles. aplicación física Letón. 90, 173108 (2007).

Artículo ADS CAS Google Académico

Sun, J. & Bhushan, B. Nanofabricación de superficies bioinspiradas. Tribol. En t. 129, 67–74 (2019).

Artículo CAS Google Académico

Weibel, JA y Garimella, SV Avances recientes en la caracterización del transporte en cámaras de vapor para aplicaciones de alto flujo de calor. (2013).

Dai, X., Tran, L., Yang, F., Shi, B., Yang, R., Lee, YC y Li, C. Caracterización del tubo de calor de cobre con mecha híbrida. En Actas de la 8ª Conferencia Conjunta de Ingeniería Térmica ASME/JSME 2011. ASME/JSME 2011 8ª Conferencia Conjunta de Ingeniería Térmica. Honolulu, Hawái, Estados Unidos. 13 al 17 de marzo de 2011. T30005. COMO YO. https://doi.org/10.1115/AJTEC2011-44088.

Zhou, F., Liu, Y. & Dede, EM Diseño, fabricación y evaluación del rendimiento de una cámara de vapor de mecha híbrida. J. Transferencia de calor. 141(8), 081802 (2019).

Artículo CAS Google Académico

Pan, M. & Hu, M. Simulación numérica de múltiples disipadores de calor de microcanales para la gestión térmica en una batería de iones de litio. química Ing. Tecnología 43(12), 2501–2513 (2020).

Artículo CAS Google Académico

Yao, Z., Lu, YW & Kandlikar, SG Mejora de la transferencia de calor en ebullición de piscinas a través de nanoestructuras en microcanales de silicio. J. Nanotecnología. Ing. Medicina. 3(3), 031002 (2013).

Artículo CAS Google Académico

Waits, CM, Modafe, A. & Ghodssi, R. Investigación de la tecnología de escala de grises para estructuras MEMS de silicio 3D de gran área. J. Micromech. Microing. 13, 170–177 (2003).

Artículo ADS CAS Google Académico

Waits, CM, Morgan, B., Kastantin, M. & Ghodssi, R. Microfabricación de estructuras MEMS de silicio 3D mediante litografía en escala de grises y grabado profundo de iones reactivos. Sens. Actuators A 119, 245–253 (2005).

Artículo CAS Google Académico

Morgan, B., Waits, CM, Krizmanic, J. & Ghodssi, R. Desarrollo de una lente Fresnel de fase de silicio profundo usando litografía en escala de grises y grabado profundo de iones reactivos. J. Microelectromecánica. sist. 13(1), 113–120 (2004).

Artículo CAS Google Académico

Khazi, I., Muthiah, U. & Mescheder, U. Formas libres 3D en c-Si mediante litografía en escala de grises y RIE. Microelectrón. Ing. 193, 34–40 (2018).

Artículo CAS Google Académico

Dixon, J., Solomon, M. Litografía en escala de grises para estructuras nanofotónicas quirales. Informe de investigación. ENGR241, SNF, Universidad de Stanford. (2018). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/finalreport2_jd_ms.pdf.

Zhou, F., Joshi, SN, Liu, Y. & Dede, EM Enfriamiento de unión cercana para electrónica de potencia de próxima generación. En t. común Transferencia de masa de calor 108 (2019), 104300 (2019).

Artículo Google Académico

Kermani, E., Dessiatoun, S., Shooshtari, A., Ohadi, MM Investigación experimental del rendimiento de transferencia de calor de un disipador de calor de microcanal múltiple para el enfriamiento de células solares concentradas. En Conferencia de tecnología y componentes electrónicos, San Diego, EE. UU., 453–459 (2009).

Jung, KW et al. Refrigeración integrada con colector 3D para aplicaciones de electrónica de potencia de vehículos: Rendimiento monofásico de fluido térmico. En t. J. Transferencia de masa de calor. 130, 1108–1119 (2019).

Artículo CAS Google Académico

Bae, DG, Mandel, RK, Dessiatoun, SV, Rajgopal, S., Roberts, SP, Mehregany, M., Ohadi, MM Refrigeración bifásica integrada de electrónica de alto flujo de calor en carburo de silicio (SiC) mediante evaporación de película delgada y un enfriador de microcanal múltiple del sistema de suministro mejorado (FEEDS). En IEEE ITHERM, 29 de mayo–1 de junio de 2017, 466–472 (2017).

Hazra, S., Piazza, A., Jung, KW, Asheghi, M., Gupta, MP, Jih, E., Degner, M., Goodson, KE Desafíos de microfabricación para áreas grandes basadas en silicio (≥ 500 mm2) 3D -Dispositivos de microenfriador integrados en múltiples para la eliminación de alto flujo de calor. En la 19ª Conferencia IEEE ITHERM (2020).

Yadavali, S., Lee, D. & Issadore, D. Microfabricación robusta de microfluidos tridimensionales altamente paralelizados en silicio. ciencia Rep. 9, 12213 (2019).

Artículo ADS PubMed PubMed Central CAS Google Scholar

Zhu, Y. et al. Ebullición de flujo de microcanal mejorada de estructura superficial. J. Transferencia de calor. 138(9), 091501 (2016).

Artículo CAS Google Académico

Wu, J., Yadavali, S., Lee, D. & Issadore, DA Ampliación del rendimiento de la síntesis de materiales basados ​​en gotas de microfluidos: una revisión del progreso reciente y las perspectivas. aplicación física Rev. 8, 031304. https://doi.org/10.1063/5.0049897 (2021).

Artículo ADS CAS PubMed Google Scholar

Scott, SM & Ali, Z. Métodos de fabricación para dispositivos microfluídicos: una descripción general. Micromáquinas 12, 319. https://doi.org/10.3390/mi12030319 (2021).

Artículo PubMed PubMed Central Google Académico

Duong, LH & Chen, PC Producción simple y económica de dispositivos microfluídicos híbridos impresos en 3D. Biomicrofluidics 13(2), 024108. https://doi.org/10.1063/1.5092529.PMID:31065307;PMCID:PMC6478590 (2019).

Artículo PubMed PubMed Central Google Académico

Parques, JW et al. Integración optofluídica híbrida. Ficha de laboratorio 13(20), 4118–4123. https://doi.org/10.1039/c3lc50818h (2013).

Artículo CAS PubMed Google Académico

Shin, SW, Yuk, JS & Chun, SH Material híbrido de ADN estructural con compuesto inorgánico: Síntesis, aplicaciones y perspectiva. Nano convergencia. 7, 2. https://doi.org/10.1186/s40580-019-0211-4 (2020).

Artículo CAS PubMed PubMed Central Google Scholar

Su, R. et al. Estructuras elastoméricas autoportantes impresas en 3D para microfluidos multifuncionales. ciencia Adv. 6, eabc9846. https://doi.org/10.1126/sciadv.abc9846 (2020).

Artículo ADS CAS PubMed PubMed Central Google Scholar

Kang, Y., Wu, T., Han, X., Gu, H. & Zhang, X. Un sustrato de dispersión Raman mejorado en superficie reutilizable similar a una aguja, y su aplicación a la determinación de acetamiprid mediante la combinación de SERS y thin- cromatografía en capas. Microchicm. Acta 185, 504 (2018).

Artículo CAS Google Académico

Largo, J. et al. Fabricación de bajo costo de microestructuras y nanoestructuras multiescala similares al brócoli de gran superficie para superficies metálicas súper hidrofóbicas con una adherencia de agua ultrabaja y una capacidad anticongelante superior. Adv. Mate. Interfaces 5, 1800353 (2018).

Artículo CAS Google Académico

Hazra, S., Liu, T., Asheghi, M., Goodson, K. Rugosidad con láser para aumentar las tasas de absorción en la microestructura pin-fin. En ASME 2020 Conferencia y Exposición Técnica Internacional de Empaquetado Electrónico sobre Empaquetado e Integración de Electrónica (2020).

Bigham, S., Fazeli, A. y Moghaddam, S. Física de la mejora de microestructuras de la transferencia de calor por evaporación de película delgada en ebullición de flujo de microcanales. ciencia Rep. 7, 44745 (2017).

Artículo ADS PubMed PubMed Central Google Scholar

Descargar referencias

Esta investigación fue apoyada por fondos de la oportunidad de financiamiento OPEN 2018 de la Agencia de Proyectos de Investigación Avanzada-Energía (ARPA-E) del Departamento de Energía (Subvención n.º DE-AR0001055). Varias partes del trabajo se llevaron a cabo en SNF (Stanford Nanofabrication Facility) y SNSF (Stanford Nano-Shared Facility). Este trabajo fue escrito en parte por Alliance for Sustainable Energy, LLC, el administrador y operador del Laboratorio Nacional de Energía Renovable para el Departamento de Energía de EE. UU. (DOE) bajo el Contrato No. DE-AC36-08GO28308. Los puntos de vista expresados ​​en el artículo no representan necesariamente los puntos de vista del DOE o del gobierno de los EE. UU. El gobierno de los EE. UU. retiene y el editor, al aceptar el artículo para su publicación, reconoce que el gobierno de los EE. UU. retiene una licencia mundial no exclusiva, pagada, irrevocable para publicar o reproducir la forma publicada de este trabajo, o permitir que otros lo hagan. para propósitos del gobierno de los EE.UU.

Departamento de Ingeniería Mecánica, Universidad de Stanford, Stanford, EE. UU.

Sougata Hazra, Chi Zhang, Qianying Wu, Mehdi Asheghi y Kenneth Goodson

Departamento de Investigación Electrónica, Instituto de Investigación Toyota de América del Norte, Ann Arbor, MI, EE. UU.

Ercan M. Dedé

Departamento de Ingeniería Mecánica, Universidad de California-Merced, Merced, CA, EE. UU.

james palco

Laboratorio Nacional de Energía Renovable, Golden, CO, EE. UU.

Sr. Narumanchi

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

También puede buscar este autor en PubMed Google Scholar

SH fue responsable de identificar la brecha en el conocimiento actual, conceptualizar el flujo del proceso, realizar el trabajo experimental y validar los resultados. Además, preparó el manuscrito y las figuras. CZ proporcionó parte de los datos de caracterización. QW, MA, KG, ED, JP y SN brindaron orientación durante el trabajo y proporcionaron comentarios durante la preparación del manuscrito.

Correspondencia a Sougata Hazra.

Los autores declaran no tener conflictos de intereses.

Springer Nature se mantiene neutral con respecto a los reclamos jurisdiccionales en mapas publicados y afiliaciones institucionales.

Acceso abierto Este artículo tiene una licencia internacional Creative Commons Attribution 4.0, que permite el uso, el intercambio, la adaptación, la distribución y la reproducción en cualquier medio o formato, siempre que se otorgue el crédito correspondiente al autor o autores originales y a la fuente. proporcionar un enlace a la licencia Creative Commons e indicar si se realizaron cambios. Las imágenes u otro material de terceros en este artículo están incluidos en la licencia Creative Commons del artículo, a menos que se indique lo contrario en una línea de crédito al material. Si el material no está incluido en la licencia Creative Commons del artículo y su uso previsto no está permitido por la regulación legal o excede el uso permitido, deberá obtener el permiso directamente del titular de los derechos de autor. Para ver una copia de esta licencia, visite http://creativecommons.org/licenses/by/4.0/.

Reimpresiones y permisos

Hazra, S., Zhang, C., Wu, Q. et al. Un novedoso método de transferencia de patrones de máscara dura a sustrato para crear estructuras 3D, multinivel, jerárquicas y de alta relación de aspecto para aplicaciones en microfluidos y tecnologías de enfriamiento. Informe científico 12, 12180 (2022). https://doi.org/10.1038/s41598-022-16281-5

Descargar cita

Recibido: 17 de marzo de 2022

Aceptado: 07 julio 2022

Publicado: 16 julio 2022

DOI: https://doi.org/10.1038/s41598-022-16281-5

Cualquier persona con la que compartas el siguiente enlace podrá leer este contenido:

Lo sentimos, un enlace para compartir no está disponible actualmente para este artículo.

Proporcionado por la iniciativa de intercambio de contenido Springer Nature SharedIt

Al enviar un comentario, acepta cumplir con nuestros Términos y Pautas de la comunidad. Si encuentra algo abusivo o que no cumple con nuestros términos o pautas, márquelo como inapropiado.